Цитата Сообщение от Nerion Посмотреть сообщение
Здравствуйте.
Необходимо в зависимости от задействованного дискретного входа менять один из множителей в умножении (MUL). К нему можно подключить только одно значение(пробовал переменную и константу, меня устроил бы любой вариант). Как обойти это ограничение. Одно значение на MUL идет только с одной ветки, а второе должно выбираться в зависимости от активированного входа. Что то вроде логического ИЛИ, только для целочисленного значения.
А что логическое "или" для целочисленных значений уже не работает? Есть же мультиплексоры, здесь больше проблема в выборе вариантов!