Может не совсем правильно сформулировал вопрос. Мне нужно реализовать в течении одного цикла
очередность исполнения. К примеру:
1. Сравниваю регистр ModBus/TCP с переменной А (начальное значение и там и там 0)
2. Если равно-ничего не делаем.
3. Если не равно: инициирую передачу (или по переменной, или по изменению значения)
4. В конце программы очищаем регистр ModBus/TCP.
Т.Е. как указать, чтобы после сборки этот блок выполнялся первым, а этот вторым?