Показано с 1 по 4 из 4

Тема: Проблема с force value при отладке

  1. #1

    Question Проблема с force value при отладке

    Здравствуйте!
    Устанавливаю булевское значение в true через force value. Работает хорошо, если устанавливать непосредственно выход ФБ (в FBD). Если же forced value попадает на вход, то ФБ работает как будто значение не forced. То есть, для блока AND выставляю и форсирую оба значения из false в true, отображается адекватно, даже на внешние выходы выдается true. Сам же блок выдает на выход false. Я что-то недочитал?

  2. #2

    По умолчанию

    перечитал доку. похоже, я переоценил фиксацию: если перед использованием зафиксированной переменной в нее происходит запись (как в моем случае), то, соответственно, далее пойдет использование не зафиксированного, а вычисленного значения. а жаль.

  3. #3

    По умолчанию

    фиксация заточена под то, чтобы плк отвязать от объекта. например, выход зафиксировали, внутри программы можем с ним играть, он будет меняться внутри, как будто все хорошо и нет фиксации, программа ничего не замечает, работает правильно, а физически выход стоит и не дергается. если сделать фиксацию после каждого изменения, то программа может пойти непонятно куда, а цель была просто отцепить выход не меняя хода вычислений. это не жаль, это только так и надо.

  4. #4

    По умолчанию

    спасибо, идея понятна. все встало на свои места.

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •