Цитата Сообщение от kondor3000 Посмотреть сообщение
Попробуйте сделать так,
Для чтения, выкинуть всё и добавить только 2 штуки 8 Bit Input, первый адрес 0, второй адрес 8. Ваш 9 бит будет во втором 8 Bit Input (бит 1)1 Чтение бит.jpg
Для записи добавьте ещё UMD (Универсал Модбас девайс, с настройкой By Value Ghange) и в него 2 штуки 8 Bit Output, там те же адреса 0 и 8.

Заработает, хорошо, если нет придётся опрашивать биб-кой. Почему, поймёте при настройке функции записи 8 Bit Output.
Надеюсь остальные настройки, протокол, адрес слейва, скорости, чётности, стоп-бит проверять у вас не надо.
Ваш вариант не сработал.
А что за бибка? Я так полагаю, что это из библиотеки. Тог что именно?