Показано с 1 по 2 из 2

Тема: Не работает симуляция в OwenLogic

  1. #1

    По умолчанию Не работает симуляция в OwenLogic

    Здравствуйте. Подскажите почему не отображаются значения переменных?
    Изображения Изображения
    • Тип файла: png image.png (250.0 Кб, Просмотров: 81)

  2. #2

    По умолчанию

    Цитата Сообщение от evgeni85 Посмотреть сообщение
    Здравствуйте. Подскажите почему не отображаются значения переменных?
    https://youtu.be/1Er-MqJ6vZ4?list=PL...B-CXsKH-&t=148
    С уважением, Ревака Юрий.
    Инженер группы технической поддержки компании "ОВЕН"
    e-mail: yu.revaka@owen.ru

    Шаблон описания ошибки ПР или OL http://ftp-ow.owen.ru/softupdate/OWE...s/Shablon.docx
    Видео уроки по ПР200 и OWEN Logic http://edu.owen.ru/series/pr200_rev/
    Другие видеоролики по тематике ПР https://www.youtube.com/channel/UCj4...H5H3d_t6iDlQOQ

Похожие темы

  1. Симуляция не работает в 1.8.62: Object reference not set to an instance of an object
    от Владимир Ситников в разделе Среда программирования OWEN Logic
    Ответов: 38
    Последнее сообщение: 25.07.2016, 19:51
  2. Симуляция не подключенных к выходу элементов
    от Владимир Ситников в разделе Среда программирования OWEN Logic
    Ответов: 7
    Последнее сообщение: 10.02.2016, 00:48
  3. OwenLogic 1.6
    от Евгений Сергеевич в разделе Программируемые реле
    Ответов: 26
    Последнее сообщение: 10.10.2012, 19:37
  4. Симуляция в OwenLogic 1.6
    от UriyG в разделе Программируемые реле
    Ответов: 2
    Последнее сообщение: 17.09.2012, 22:52
  5. симуляция работы пид регулятора
    от Вячеслав В в разделе ПЛК1хх
    Ответов: 3
    Последнее сообщение: 17.07.2007, 09:37

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •