Показано с 1 по 2 из 2

Тема: Мигание светодиодом

  1. #1

    Question Мигание светодиодом

    Здравствуйте! Я новичок в Codesys, у меня возник вопрос.
    У меня есть программа для переливания воды из одной емкости в другую, при наполнении одной емкости срабатывает датчик и вкл насос, который качает воду из этого сосуда в следующий. Мне надо запрограммировать светодиод таким образом, чтобы он включался и моргал при работе соответсвующего насоса. Включить светодиод я понимаю как: просто сделать булевую функцию и при включении насоса ей присваивать значение TRUE, а как сделать так чтобы он еще при этом моргал??? Для каждой емкости в Codesys я сделал функции, где происходит проверка на наличие воды в емкости.

  2. #2
    Пользователь
    Регистрация
    31.07.2013
    Адрес
    Аркаим
    Сообщений
    1,033

    По умолчанию

    Exen3city
    Есть такой волшебный функциональный блок BLINK, думаю он поможет начать мыргать светодиоду.

Похожие темы

  1. мигание панели
    от CEkip в разделе Панели оператора (HMI)
    Ответов: 2
    Последнее сообщение: 29.12.2016, 14:03
  2. Мигание параметров на СП270
    от Алекс2013 в разделе Панели оператора (HMI)
    Ответов: 5
    Последнее сообщение: 31.08.2016, 15:15
  3. Мигание ламп
    от Мердан в разделе ПЛК1хх
    Ответов: 11
    Последнее сообщение: 09.04.2016, 09:14
  4. ТРМ32 мигание индикации температуры
    от Gans в разделе Эксплуатация
    Ответов: 4
    Последнее сообщение: 23.11.2011, 18:23

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •