Страница 4 из 9 ПерваяПервая ... 23456 ... ПоследняяПоследняя
Показано с 31 по 40 из 90

Тема: Пример реализации Web сервера - изменение IP настроек и построение графика

  1. #31
    Пользователь
    Регистрация
    11.08.2008
    Адрес
    Астрахань
    Сообщений
    58

    По умолчанию

    Вопрос: допустим, есть статический IP роутера, но у плк ведь локальные адрес, шлюз и маска, подскажите пожалуйста, нужно настраивать именно adsl-модем, чтобы плк был виден во внешней сети? И как

  2. #32
    Пользователь Аватар для Роман26
    Регистрация
    30.01.2009
    Адрес
    Россия, Ставрополь
    Сообщений
    80

    По умолчанию

    Подскажите, можно ли заставить ПЛК ОВЕН выполнять POST запросы к PHP скриптам, аналогично обычному web браузеру, к примеру вот так:

    http://10.0.6.11/plc.php?var1=123&var2=321&var3=text

    10.0.6.11 - в примере - это ip адрес web-сервера подключенного к плк через Ethernet.

    Спасибо!
    Ремонтно-строительная компания, г.Ставрополь

  3. #33

    По умолчанию

    Почему бы и нет - создайте в стандартном браузере нужный запрос, посмотрите содержимое пакета TCP, после чего формируйте и засылайте пакет через SysLibSocket.

  4. #34
    Пользователь Аватар для Роман26
    Регистрация
    30.01.2009
    Адрес
    Россия, Ставрополь
    Сообщений
    80

    По умолчанию

    а если наоборот? чтобы Php скрипт работающий на вышеупомянутом сервере обращался к переменным в плк.
    Ремонтно-строительная компания, г.Ставрополь

  5. #35

    По умолчанию

    тоже не проблема

  6. #36
    Пользователь Аватар для Роман26
    Регистрация
    30.01.2009
    Адрес
    Россия, Ставрополь
    Сообщений
    80

    По умолчанию

    олег, но об этом нигде не написано! поделись пожалуйста знаниями)
    Последний раз редактировалось Роман26; 15.06.2009 в 09:13. Причина: в форуме баг, имя пишеться с маленькой буквы
    Ремонтно-строительная компания, г.Ставрополь

  7. #37

    По умолчанию

    Сокровенных знаний здесь нет. Используем стандартные знания TCP/IP.
    Примеров в инете море - пишем с учетом циклического вызова!!!

    На вскидку так-
    packet_sz:=1000; (* Размер пакета*)
    sz:=1000;

    if my_rtrig.Q then
    SysSockCreate..
    SysSockConnect..
    sz:=SysSockSend..
    else
    if sz<packet_buf then
    sz:=sz+SysSockSend..
    end_if
    end_if

  8. #38
    Пользователь Аватар для Роман26
    Регистрация
    30.01.2009
    Адрес
    Россия, Ставрополь
    Сообщений
    80

    По умолчанию

    Если не затруднит, можно поподробнее?
    Ремонтно-строительная компания, г.Ставрополь

  9. #39

    По умолчанию

    Скажите, пожалуйста, возможно ли запустить данный сервер, если нет ПЛК (работаю в режиме эмуляции)? Сам пытался-не смог)

  10. #40

    По умолчанию

    Нельзя. SymLib в режиме симуляции не работает. А сокеты- работают.

Страница 4 из 9 ПерваяПервая ... 23456 ... ПоследняяПоследняя

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •