Цитата Сообщение от Алексеев Посмотреть сообщение
Год назад писал что проблема с использованием целого числа .
Вы согласились что есть проблема двойного преобразования .
Целый INT преобразуется в беззнаковый тип через раз из архива .
Не ужели это проявляется только у меня ???? .
До сих пор не устранена фича .фича с использованием целого числа.png
Добрый день.
Уточните, пожалуйста, о каком посте идет речь. Какой прибор опрашиваете?