Всем доброго времени суток! Проблема такая: нужен частотомер. Такого алгоблока в CoDeSys я не нашел, но есть такая идея - считать количество машинных циклов (вместо миллисекунд), прошедших между двумя фронтами. Время исполнения одного машинного цикла известно, таким образом, разделив количество циклов на время исполнения одного цикла получим частоту. Помогите с реализацией алгоблока, plz, или поделитесь готовым, если у кого есть.