Показано с 1 по 5 из 5

Тема: выход счётчика CTD

  1. #1
    Пользователь
    Регистрация
    06.10.2012
    Адрес
    Киров
    Сообщений
    79

    Question выход счётчика CTD

    Доброго времени суток! У меня возникла проблемка: при запуске программы, на выходе декрементного счетчика CTD сразу появляется высокий уровень, хотя он даже и не начинал отсчета. Если выход Q соединить с LOAD, в первый цикл программы выход уст-ся в высокий уровень, через LOAD загружается и в следующий цикл программы только начинает работать как надо. Кто-нибудь сталкивался с этим и как бороться? Заранее благодарен!

  2. #2
    Пользователь
    Регистрация
    06.10.2012
    Адрес
    Киров
    Сообщений
    79

    По умолчанию

    Выход нашел. Добавил еще одну переменную на вход LOAD, которой в разделе переменных сразу присвоил TRUE и в программе на нее повесил выход счетчика, т.о. счетчик загружается значением в первом цикле программы. Считаю, что это косяк в CodeSys. Может кто ещё предложит варианты решения, или так изначально для чего-то задумано?

  3. #3
    Пользователь Аватар для capzap
    Регистрация
    25.02.2011
    Адрес
    Киров
    Сообщений
    10,246

    По умолчанию

    Может Вам стоит документацию перечитать, чтоб утверждать что это косяк. Ясно написано, когда достигает нуля, булевый выход становиться TRUE, задав начальное значение отсчета, выход сбросится. Так что всё согласно описанию, ненравится напишите свой, это не так сложно сделать



    ЗЫ и по поводу постоянного значения TRUE на входе LOAD, разве работает после этого счет?
    Изображения Изображения
    • Тип файла: png owen.png (74.3 Кб, Просмотров: 59)
    Bad programmers worry about the code. Good programmers worry about data structures and their relationships

    среди успешных людей я не встречала нытиков
    Барбара Коркоран

  4. #4
    Пользователь
    Регистрация
    11.01.2009
    Адрес
    Кострома
    Сообщений
    3,144

    По умолчанию

    Если внимательно читали, то выход установится в TRUE, при достижении нуля.
    Если у Вас при вызове счетчика значение в нем 0, то так и должно быть.

  5. #5
    Пользователь
    Регистрация
    06.10.2012
    Адрес
    Киров
    Сообщений
    79

    По умолчанию

    capzap, я имел ввиду так: про1.png , т.о. при первом вызове счетчика он уже будет загружен значением и выход Q станет активным, только тогда, когда сч. досчитает до 0.
    Изначально хотел, чтобы счетчик сам себя сбрасывал (с Q на LOAD напрямую), но при первом вызове в нем записан "0", поэтому выход Q и активировался, а далее все шло как надо...
    Sorry, сам тупанул

Похожие темы

  1. СИ-8 сброс счётчика
    от Alex777-83 в разделе Эксплуатация
    Ответов: 7
    Последнее сообщение: 11.04.2014, 09:40
  2. Протокол обмена для счётчика Альфа?
    от SVVSVA в разделе Трёп (Курилка)
    Ответов: 1
    Последнее сообщение: 09.09.2011, 23:35
  3. протокол обмена данных счётчика Альфа 1140
    от SVVSVA в разделе Эксплуатация
    Ответов: 1
    Последнее сообщение: 09.09.2011, 11:51
  4. Протокол для счётчика Альфа 1140
    от SVVSVA в разделе ПЛК1хх
    Ответов: 1
    Последнее сообщение: 07.09.2011, 22:09

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •