Показано с 1 по 3 из 3

Тема: Симуляция в OwenLogic 1.6

  1. #1

    По умолчанию Симуляция в OwenLogic 1.6

    Подскажите, пожалуйста! Почему в режиме симуляции часть блоков и переменных никак не реагирует на работу программы, т. е. входы и выходы этих блоков и переменных не принимают никаких значений. См. вложение, выделенный участок программы "БЛОК выбора неисправного насоса"
    Вложения Вложения

  2. #2

    По умолчанию

    Переменные sp1 -sp3 никуда не привязаны, т.е. они не привязаны к выходу, выделенные фрагменты висят в воздухе и результат их деятельности никуда не идет. поэтому он не обсчитывается и поэтому нет цифр в симуляции

  3. #3

    По умолчанию

    Спасибо! Все получилось.

Похожие темы

  1. OwenLogic 1.3
    от Евгений Сергеевич в разделе Программируемые реле
    Ответов: 317
    Последнее сообщение: 29.04.2020, 09:29
  2. OwenLogic 1.5
    от Евгений Сергеевич в разделе Программируемые реле
    Ответов: 259
    Последнее сообщение: 22.11.2015, 19:52
  3. OwenLogic 1.6
    от Евгений Сергеевич в разделе Программируемые реле
    Ответов: 26
    Последнее сообщение: 10.10.2012, 19:37
  4. Глюк в OwenLogic 1.1.0.12
    от Olex007 в разделе Программируемые реле
    Ответов: 5
    Последнее сообщение: 18.10.2010, 15:17
  5. симуляция работы пид регулятора
    от Вячеслав В в разделе ПЛК1хх
    Ответов: 3
    Последнее сообщение: 17.07.2007, 09:37

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •