Страница 2 из 4 ПерваяПервая 1234 ПоследняяПоследняя
Показано с 11 по 20 из 38

Тема: Настройка ПИД, помогите новичку

  1. #11
    Пользователь
    Регистрация
    31.08.2010
    Адрес
    г. Тамбов
    Сообщений
    35

    По умолчанию

    Все , всем спасибо разобрался, вкралась ошибка в программную реализацию, пид управление лежало в отдельном PRG, который отрабатывал раз в секунду, уменьшил время до 500ms, а также уменьшил время опроса входной величины - расход ( так же в отдельном PRG) и все заработало, коэффициенты подобрал за час, еще раз всем огромное спасибо!
    PS
    ПЛК100 + oscat.de - РУЛИТ

  2. #12

    По умолчанию

    Интересно, а у меня при добавлении библиотеки Oscat и последующей компиляции, выскакивает ошибка "превышено допустимое количество POU".
    И как с этим бороться?
    Вложения Вложения

  3. #13

    По умолчанию

    А не подскажете поподробнее, как это сделать?
    Например, для того же ПИД - регулятора?

  4. #14

    По умолчанию

    Понятно!
    Спасибо!

  5. #15
    Пользователь
    Регистрация
    31.08.2010
    Адрес
    г. Тамбов
    Сообщений
    35

    По умолчанию

    открываете два экземпляра КДС, в одном свой проект, в другом биб-ку оскат, если решили использовать CTRL_PID, в своем проекте создаете ФБ с таким же именем и копируете из оскат, коментарии можно не копировать
    на мой взгляд лучше не копировать, а экспортировать, а в свой проект импортировать... ИМХО
    Последний раз редактировалось nik9999; 14.12.2011 в 16:06.

  6. #16

    По умолчанию

    Цитата Сообщение от nik9999 Посмотреть сообщение
    На счет Util.lib, нормальная библиотека, и интеграл адекватный там, но просто мне больше понравилась oscat.de.
    Интегратор там уходит в заоблачную даль при долгом рассогласовании в одну сторону (при разогреве до заданной уставки). При смене знака рассогласования он также долго возвращается, при этом на выходе регулятора мощность 100%! а уже давно перегрев! Жесть!

  7. #17
    Пользователь
    Регистрация
    31.08.2010
    Адрес
    г. Тамбов
    Сообщений
    35

    По умолчанию

    Судя по кол-ву высказываний про это, лично для Вас открою страшную тайну - нужно всего лишь устанавливать Y_MIN/MAX.
    позволю себе не согласиться, приведу пример ( гипотетический ) , предположим в некой печке есть тен, и при помощи его мы поддерживаем заданную температуру, тен сгорел и мы его пол дня меняем но при этом ПЛК включен и находиться в режиме работа, что будет происходить с интегральной составляющей ? она будет, все эти пол дня, неуклонно расти ( Y_MIN/MAX - накладывает ограничение на выход пид алгоритма, но не на интегральную составляющаю) , за тем мы тен починили и подсоединили к ПЛК, что произойдет ? в полне возможно он сгорит по новой, потому что интегральная состовляющая выросла "до небес" и пока она опуститься ого-го , сколько пройдет времени !
    как с эти бороться ? если посмотреть FB PID_CTRL то там есть строка кода вычесляющая интеграл
    i := (IN + in_last) * 5.0E-7 * KI * tc + i;
    заменит константу 5.0E-7 ну например на 1, тогда "из за облочных далей" будет возвращаться быстрее, другой способ , банальный reset для ПИДа, ну если кому интересно расскажу и третий ...

  8. #18
    Пользователь
    Регистрация
    31.08.2010
    Адрес
    г. Тамбов
    Сообщений
    35

    По умолчанию

    Для ПИД'ов из util - интегральная сумма неограничена ТОЛЬКО при Y_MIN = Y_MAX
    на сколько я понял, речь шла про oscat.de, по сему все мои высказывания касаются только oscat

  9. #19

    Thumbs down

    Цитата Сообщение от Валенок Посмотреть сообщение
    Судя по кол-ву высказываний про это, лично для Вас открою страшную тайну - нужно всего лишь устанавливать Y_MIN/MAX.
    И все. Заоблачных далей не будет.
    Устанавливаю, как вы догадались. На выходе действительно максимум, а интегратору на него глубоко по барабану.
    nik9999 в этом вопросе прав.
    Последний раз редактировалось Алексей Дмитриев; 19.12.2011 в 00:57.

  10. #20
    Пользователь
    Регистрация
    31.08.2010
    Адрес
    г. Тамбов
    Сообщений
    35

    По умолчанию

    Ну вот, благодаря многоуважаемому Валенку, разобрался во многих аспектах, реализации PID алгоритма, под итожу , имеем Util.lib, замечания внесенные Валенком, абсолютно верны, т.е. если наложить ограничения Y_MIN/Y_MAX, то интегральная составляющая перестает расти при достижении Y-ком, вышеуказанных величин, для этого была написана программа эмулятор PID регулятора ( кстати полезна будет новичкам ) , вот результаты ее работы ( зел- регулируемая велечина , син - мощность, красн - интегр.составляющая)
    1.JPG
    сам исходник для КДС
    TestPidFrom_Util.zip
    Последний раз редактировалось nik9999; 19.12.2011 в 21:35.

Страница 2 из 4 ПерваяПервая 1234 ПоследняяПоследняя

Похожие темы

  1. Помогите новичку ;)
    от ziclop в разделе Эксплуатация
    Ответов: 9
    Последнее сообщение: 11.11.2015, 12:59
  2. Помогите новичку
    от Сухарик в разделе ПЛК1хх
    Ответов: 11
    Последнее сообщение: 27.09.2011, 15:03
  3. Помогите новичку
    от Konstanta в разделе ПЛК1хх
    Ответов: 2
    Последнее сообщение: 14.01.2011, 07:59
  4. помогите новичку
    от al_vl_sher в разделе ПЛК1хх
    Ответов: 2
    Последнее сообщение: 15.03.2010, 11:46

Ваши права

  • Вы не можете создавать новые темы
  • Вы не можете отвечать в темах
  • Вы не можете прикреплять вложения
  • Вы не можете редактировать свои сообщения
  •