PDA

Просмотр полной версии : Мигание светодиодом



Exen3city
20.06.2019, 13:07
Здравствуйте! Я новичок в Codesys, у меня возник вопрос.
У меня есть программа для переливания воды из одной емкости в другую, при наполнении одной емкости срабатывает датчик и вкл насос, который качает воду из этого сосуда в следующий. Мне надо запрограммировать светодиод таким образом, чтобы он включался и моргал при работе соответсвующего насоса. Включить светодиод я понимаю как: просто сделать булевую функцию и при включении насоса ей присваивать значение TRUE, а как сделать так чтобы он еще при этом моргал??? Для каждой емкости в Codesys я сделал функции, где происходит проверка на наличие воды в емкости.

Sulfur
20.06.2019, 21:20
Exen3city
Есть такой волшебный функциональный блок BLINK, думаю он поможет начать мыргать светодиоду.